コランダム

オープンソースの100G NIC IPコア

オープンソースの Corundum IP コアで SmartNIC プロジェクトをスタートさせましょう!FPGAベースのネットワークアクセラレーション の基本的なフレームワークが用意されており、ユーザーが適応・拡張できるようになっています。ユーザが独自のカスタムロジックとホストソフトウェアを追加して SmartNIC を構築し、Corundum の基本的なネットワークパケット処理要素を使用することで時間を節約することが期待されています。ユーザーアプリケーション領域は、複数のカードタイプを簡単にターゲットにすることができます。

Corundumが他のオープンソースFPGA NICフレームワークと異なる点は、スキャッター/ギャザー、PTP、堅牢なホストDMA統合などの機能です。全体的な性能は、最大100Gb/sのラインレートでの汎用パケット処理アプリケーションをターゲットにしています。

この IP コアは、AMD VirtexUltraScale+ およびAltera Agilex 7 デバイスを搭載したBittWare FPGA カードをターゲットとしています。具体的な対応カードは仕様に記載されています。オープンソース・プロジェクトであるため、顧客はIPを使用して他のBittWare カードに移植することができます。

オープンソースで 商業プロジェクト

ファイングレイン トラフィックコントロール

のために設計されています。 カスタマイズ

製品概要

コランダムのブロック図

特徴

  • オープンソースのFPGAベースのNIC
    • PCIe Gen3 x16、10G/25G/100Gイーサネットポートを複数搭載。
    • フルカスタムDMAエンジン、Linuxドライバ
  • きめ細かなトラフィックコントロール
    • 10,000以上のハードウェアキュー、カスタマイズ可能なスケジューラ
  • カスタムロジック用アプリケーションブロック
    • ネットワークトラフィックへのアクセス、DMAエンジン、オンカードRAM、PTP時間
  • PTPタイムスタンプと時刻同期
  • 管理機能(FWアップデートなど)

データシートと製品詳細

アプリケーション

  • アプリケーション固有の処理をオフロードする
  • 新しい送信スケジューラのためのデータパス
  • 性能測定のための楽器コランダム
  • トランシーバーへの直接アクセスにより、物理層の測定や新しいワイヤープロトコルの開発が可能になります。
  • コアロジックをパケットDMAエンジンとして大規模システムで使用する

機能詳細について

きめ細かなトラフィックコントロール

  • 10,000以上の送信キュー
    • 各キューはSWとHWの間の独立したチャネルである
    • SWで分類し、HWで制御する
    • フロー単位、デスティネーション単位のきめ細かな制御
    • 128ビット/キュー → US+の2URAMで4096キュー
  • 送信スケジューラ
    • どのキューから送信するかを決定する
    • デフォルトのスケジューラはラウンドロビン
    • トラフィックシェーピング、レートリミッティングなどの実装に使用できます。

オープンソース

  • Corundum コアロジック 100%オープンソース Verilog
    • 10G/25G MAC、PCIe DMA、AXI、AXIストリームなどを含む。
    • インターフェースに使用するデバイスハードIP - PCIe、100G MAC、Serde
  • シミュレーションはオープンソースツールを使用
    • Cocotb + Icarus Verilog/Verilator
    • AXI、Ethernet、PCIeに対応したCocotbの拡張機能
  • Tox+pytestによるCI
  • ビルド自動化のためのMakefile

ポートおよびインターフェイス

  • 複数のアップリンクにハードウェアで対応
  • 複数の物理ポートが1つのOSレベルのインターフェースとして表示される
  • ポートには別々のスケジューラがある
  • スケジューラの設定を変更することで、ポート間のフローを移行またはストライプさせることができる
従来のNICブロック図

従来のNIC:ソフトウェアでの割り当て

コランダムNICブロック図

コランダムNIC:ハードウェアへのアサインメント

コランダムDMAインターフェース・ブロック図

モジュール式DMAエンジン

  • DMAエンジンをインターフェースモジュールとクライアントモジュールに分割
    • インターフェースはホストに接続する - PCIe、AXIなど。
    • クライアントモジュールは内部ポートを形成する - AXIストリーム、メモリマップドAXI
  • デュアルポートRAMを搭載したインターフェースに接続されたクライアント
  • 同一コアロジックでサーバ(PCIe)とSoC(AXI)の双方に対応可能

コランダム

プロジェクトについて

Corundumは、オープンソースのFPGAベースのNICであり、インネットワークコンピュートのためのプラットフォームです。 

価格や詳細についてご興味のある方は、こちらをご覧ください。

当社のテクニカルセールスチームは、在庫状況や構成情報を提供したり、技術的な質問に答えたりする準備ができています。