커런덤

오픈소스 100G NIC IP 코어

오픈 소스 Corundum IP 코어로 SmartNIC 프로젝트를 시작하세요! 이 코어에는 FPGA 기반 네트워크 가속을 위한 기본 프레임워크가 포함되어 있어 사용자가 조정하고 확장할 수 있습니다. 사용자는 자체 커스텀 로직과 호스트 소프트웨어를 추가하여 Corundum의 기본 네트워크 패킷 처리 요소를 사용하여 시간을 절약하면서 SmartNIC를 구축할 수 있습니다. 사용자 애플리케이션 영역을 사용하면 여러 카드 유형을 더 쉽게 타겟팅할 수 있습니다.

Corundum이 다른 오픈 소스 FPGA NIC 프레임워크와 차별화되는 점은 스캐터/개더, PTP 및 강력한 호스트 DMA 통합과 같은 기능입니다. 전반적인 성능은 최대 100Gb/s의 회선 속도에서 범용 패킷 처리 애플리케이션을 대상으로 합니다.

IP 코어는 AMD 버텍스 울트라스케일+ 및 알테라 애자일렉스 7 디바이스가 탑재된 비트웨어 FPGA 카드를 대상으로 합니다. 지원되는 특정 카드는 사양에 나와 있습니다. 오픈 소스 프로젝트인 이 IP는 고객이 다른 BittWare 카드로 포팅하는 데 사용할 수 있습니다.

오픈 소스 대상 상업용 프로젝트

세분화된 교통 통제

설계 대상 사용자 지정

제품 설명

코런덤 블록 다이어그램

특징

  • 오픈 소스 FPGA 기반 NIC
    • PCIe Gen3 x16, 다중 10G/25G/100G 이더넷 포트
    • 완전 맞춤형 DMA 엔진; Linux 드라이버
  • 세분화된 교통 통제
    • 10,000개 이상의 하드웨어 대기열, 사용자 지정 가능한 스케줄러
  • 사용자 지정 로직을 위한 애플리케이션 블록
    • 네트워크 트래픽, DMA 엔진, 온카드 RAM, PTP 시간 액세스
  • PTP 타임스탬프 및 시간 동기화
  • 관리 기능(FW 업데이트 등)

데이터 시트 및 제품 세부 정보

애플리케이션

  • 애플리케이션별 처리 오프로드
  • 새로운 전송 스케줄러를 위한 데이터 경로
  • 성능 측정을 위한 기기 코런덤
  • 직접 트랜시버 액세스를 통해 물리 계층 측정 및 새로운 유선 프로토콜 개발 가능
  • 대규모 시스템에서 코어 로직을 패킷 DMA 엔진으로 사용

자세한 기능 목록

세분화된 교통 통제

  • 10,000개 이상의 전송 대기열
    • 각 큐는 SW와 HW 간의 독립적인 채널입니다.
    • 분류는 SW로, 제어는 HW로
    • 세분화된 흐름별 또는 대상별 제어
    • 128비트/큐 -> US+에서 2 URAM의 4096 큐
  • 전송 스케줄러
    • 전송할 대기열을 결정합니다.
    • 기본 스케줄러는 라운드 로빈입니다.
    • 트래픽 쉐이핑, 속도 제한 등을 구현하는 데 사용할 수 있습니다.

오픈 소스

  • 코런덤 코어 로직 100% 오픈 소스 Verilog
    • 10G/25G MAC, PCIe DMA, AXI, AXI 스트림 등을 포함합니다.
    • 인터페이스에 사용되는 디바이스 하드 IP - PCIe, 100G MAC, serdes
  • 오픈 소스 도구를 사용한 시뮬레이션
    • 코코트비 + 이카루스 베릴로그/베릴레이터
    • AXI, 이더넷 및 PCIe용 Cocotb 확장 기능
  • CI용 Tox + 파이테스트
  • 빌드 자동화를 위한 메이크파일

포트 및 인터페이스

  • 다중 업링크를 위한 하드웨어 지원
  • 여러 물리적 포트가 단일 OS 레벨 인터페이스로 표시됨
  • 포트에는 별도의 스케줄러가 있습니다.
  • 스케줄러 설정을 변경하여 포트 간 흐름 마이그레이션 또는 스트라이핑
기존 NIC 블록 다이어그램

기존 NIC: 소프트웨어에서의 할당

코런덤 NIC 블록 다이어그램

Corundum NIC: 하드웨어 할당

코런덤 DMA 인터페이스 블록 다이어그램

모듈형 DMA 엔진

  • 인터페이스와 클라이언트 모듈로 분리된 DMA 엔진
    • 호스트에 연결되는 인터페이스 - PCIe, AXI 등
    • 클라이언트 모듈은 내부 포트를 형성합니다 - AXI 스트림, 메모리 매핑 AXI
  • 듀얼 포트 RAM으로 인터페이스에 연결된 클라이언트
  • 동일한 코어 로직으로 서버(PCIe)와 SoC(AXI) 모두 지원

커런덤

프로젝트 정보

Corundum은 네트워크 내 컴퓨팅을 위한 오픈 소스 FPGA 기반 NIC 및 플랫폼입니다. 

가격이나 자세한 정보가 궁금하신가요?

기술 영업팀에서 가용성 및 구성 정보를 제공하거나 기술 관련 질문에 답변해 드립니다.